当前位置: 首页 > news >正文

黔南网站建设网站制作开发

黔南网站建设,网站制作开发,wordpress注册rest,网站建设补贴目录 寄存器的设计: 多位寄存器: 多位寄存器的VHDL描述: 移位寄存器: 串进并出的移位寄存器的VHDL描述: 寄存器的设计: 多位寄存器: 一个D触发器就是一位寄存器,如果需要多位寄存器&…

目录

寄存器的设计:

多位寄存器:      

多位寄存器的VHDL描述:

移位寄存器:

串进并出的移位寄存器的VHDL描述:


寄存器的设计:

多位寄存器:      

一个D触发器就是一位寄存器,如果需要多位寄存器,就要用多个D触发器构成。

多位寄存器的VHDL描述:

Entity reg  is

      generic( n: natural :=4 );                            --实体类属中的常数

        port (  D: in std_logic_vector(n-1 downto 0);

                 clock, reset : in std_logic;

                 Q: out std_logic_vector (n-1 downto 0) );

End reg ;

Architecture behav of reg is

Begin

     process(clock, reset)

     begin

         if (reset=‘0’)  then Q<=( others=>‘0’);       --表示Q赋全‘0 

         elsif rising_edge(clock) then

             Q<=D;

         end if;

       end process;

End  behav ;


移位寄存器:

我们这里讨论的是串进并出的移位寄存器,即串行输入,在时钟的边沿移位进寄存器,形成并行输出

串进并出的移位寄存器的VHDL描述:

Entity   sipo is

       generic( n : natural :=8);

       port ( a : in std_logic ;

                 q: out std_logic_vector(n-1 downto 0);

                 clk : in std_logic );

End sipo;

Architecture behav of sipo is

Begin

    process(clk)

        variable reg : std_logic_vector(n-1 downto 0);

     begin

         if  rising_edge(clk)  then

              reg : = reg ( n-2 downto 0) & a ;   --左移移位寄存器;

                                      -- reg : = a & reg (n-1 downto 1); 右移移位寄存器

          end if ;

          q<= reg ;

    end  process;

End  behav;

 输入8位数据11100100,从仿真波形可以看出,8位数据是从低位左移存储到寄存器中的。

 

http://www.wangmingla.cn/news/55060.html

相关文章:

  • 平台网站建设开票开什么内容北京网站建设公司
  • 做网站都能用什么做百度公司电话是多少
  • 市场营销方案范文关键词排名优化易下拉霸屏
  • 蝌蚪窝一个释放做网站百度搜索排名优化
  • 四川短视频seo优化网站充电宝seo关键词优化
  • 做网站开发要学多久搜狗网站收录提交入口
  • 免费网站收录网站推广惠州疫情最新消息
  • 网络设计包括哪些兰州网络推广优化怎样
  • 网站域名需要购买吗搜索关键词分析
  • 2008服务器网站注册google账号
  • 温州公司网站建设seo优化网
  • 网页编辑工具是什么郑州seo外包收费标准
  • 惠州做企业网站的长尾关键词什么意思
  • 肃宁网站建设软文推广平台
  • 用python做网站后台深圳广告公司排名
  • 云龙徐州网站开发搜索引擎营销的案例有哪些
  • 微信二维码制作网站爱站工具
  • 北京微信网站建设报价网站推广的途径和方法
  • 南通网站制作建设教育培训机构有哪些
  • google外贸建站搜索词
  • 珠海的门户网站有哪些百度联系电话
  • dreamweaver 企业网站模板sem托管公司
  • 河北高端网站建设千锋教育培训机构就业率
  • 做网站要霸屏吗百度点击器下载
  • 调查问卷网站建设方案广州网站推广排名
  • 网站托管什么意思商丘优化公司
  • 做网站有维护费是什么费用深圳网站营销seo费用
  • 做静态网站需要成本吗先做后付费的代运营
  • 东莞 企业网站建设淘宝seo排名优化
  • wordpress mysql 分表seo论坛站长交流