当前位置: 首页 > news >正文

校园网站建设意义网站收录查询网

校园网站建设意义,网站收录查询网,昆明做公司网站,移动网站优化排名专栏前言 本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网 分析 注意题目要求输入信号为有符号数,另外输出信号可能是输入信号的和,所以需要拓展一位,防止溢出。 timescale 1ns/1ns module data_…
专栏前言

本专栏的内容主要是记录本人学习Verilog过程中的一些知识点,刷题网站用的是牛客网

分析

注意题目要求输入信号为有符号数,另外输出信号可能是输入信号的和,所以需要拓展一位,防止溢出。 

`timescale 1ns/1ns
module data_select(input clk,input rst_n,input signed[7:0]a,input signed[7:0]b,input [1:0]select,output reg signed [8:0]c
);always @ (posedge clk or negedge rst_n) begin if (~rst_n) c <= 9'b0 ; else begin case(select) 2'b00 : c <= a ; 2'b01 : c <= b ; 2'b10 : c <= a + b ; 2'b11 : c <= a - b ; default : c <= 9'b0 ; endcase end end 
endmodule

 

http://www.wangmingla.cn/news/163010.html

相关文章:

  • 怎么做网站转让机制万网域名查询工具
  • wordpress网站模板仿站工具经典营销案例100例
  • 济南装修公司口碑最好的是哪家网络优化工程师
  • 个人网页在线制作app成都官网seo费用
  • 网站导入wordpress百度网站优化
  • 求一个做烧肉的网站佛山网络营销推广
  • 网站ip过万网站seo优化方案策划书
  • 网站制作熊猫建站软文营销的本质
  • 广州新塘建网站游戏推广工作好做吗
  • 完善网站建设网站建设的技术支持
  • 乐清网站建设服务铜川网站seo
  • 厦门网站建设价格媒体平台
  • 开发网站公司收入推广工具
  • 网站建设需要的费用长沙网站seo源头厂家
  • 邢台网站建设厂家公关公司排名
  • 网站汉英结合的怎么做那种网站怎么搜关键词
  • laravel做的网站深圳网络推广最新招聘
  • 网站添加锚点深圳十大网络推广公司排名
  • 家纺网站建设设计公司排名
  • 网站404做多大近日网站收录查询
  • 厦门定制网站建设经典软文文案
  • 做网站logo用啥软件网站建设制作
  • 做免费外贸网站网络宣传推广
  • wordpress 多用户主题百度seo工具
  • 手机做网站需要多少天学it什么培训机构好
  • 嘉兴cms模板建站福州网站快速排名提升
  • 九江 网站建设公司市场调研报告范文3000字
  • 做电影网站有哪些关键词排名怎么做好
  • 网站空间 程序有限制吗河南制作网站
  • 郑州网站设计网站霸屏推广